Thursday, November 09, 2006

Verilog/FPGA tools for Linux

Until I get more organized with this, it's just a collection of random links. I'll need to figure out a way to program the FPGA on my board.Go to the Xilinx web page for downloading WebPACK and grab a free download. It's a shell script; run it to install the Xilinx tools on your hard disk. It will take about a gigabyte so make sure to install it in a partition with that much room. Start reading doc/usenglish/books/docs/qst/qst.pdf. That's as far as I've gotten today.

Good Verilog tutorial here.

No comments: